Faglige interesser
Ultra lavspenning / laveffekt blandet digitale og analoge kretser. Defekt- og strålingstolerante kretser og mikroarkitekturer.
Undervisning
Bakgrunn
dr. ing., NTNU, 2002.
cand. scient., UiO, 1993.
Emneord:
defekt tolerante nanorarkitekturer,
subthreshold kretser,
radhard kretser.
Publikasjoner
-
Hossein Zadeh, Somayeh; Ytterdal, Trond & Aunet, Snorre (2018). Comparison of Ultra Low Power Full Adder Cells in 22 nm FDSOI Technology, In Juri Mihhailov & Maksim Jenihhin (ed.),
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).
IEEE.
ISBN 978-1-5386-7656-1.
paper.
-
Låte, Even; Ytterdal, Trond & Aunet, Snorre (2018). A loadless 6T SRAM cell for sub- & near- threshold operation implementedin 28 nm FD-SOI CMOS technology. Integration.
ISSN 0167-9260.
63, s 56- 63 . doi:
10.1016/j.vlsi.2018.05.006
Vis sammendrag
Most ultra low power SRAM cells operating in the sub and near threshold region deploy 8 or more transistors per storage cell to ensure stability. In this paper we propose and design a low voltage, differential write, single ended read memory cell that consists of a total of 6 transistors. The innovative idea is to bring the loadless 4-transistor latch into the realm of low voltage memory cells by exploiting features of the 28 nm FDSOI Process and by adding a 2-transistor readbuffer with a footer line. Stand-alone and on a system level, the cell is stable during read, write and hold operations and it has great write-ability due to its differential write and loadless nature. The single NWELL option in 28 nm FD-SOI allows the loadless core to have minimal device widths while greatly improving the time it takes to evaluate the read bit-line. The cell has, in this paper, been used in a 128 kb (2 17 ) SRAM in a 16 block configuration exploring 3 different types of logic libraries for the peripheral logic of the system. Depending on the application, the IO-peripheral logic may be implemented using either high threshold voltage transistors or low threshold voltage transistors in where the power consumption of the 128 kb system was found to range from 1.31 µW to 71.09 µW, the maximum operational frequency lies within 1.87 MHz and 14.97 MHz while the read energy varies from 13.08 to 75.21 fJ/operation/bit for a supply voltage of 350 mV. The minimum retention voltage of the loadless SRAM cell is found to be 230 mV covering 5σof variation with Monte Carlo simulations.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2018). An Ultra-Low Voltage and Low-Energy Level Shifter in 28 nm UTBB-FDSOI. IEEE Transactions on Circuits and Systems - II - Express Briefs.
ISSN 1549-7747.
. doi:
10.1109/TCSII.2018.2871637
Vis sammendrag
Abstract—A low-power level shifter capable of up-converting sub-50 mV input voltages to 1 V has been implemented in a 28 nm FDSOI technology. Diode connected transistors and a single-NWELL layout strategy have been used along with poly and back-gate biasing techniques to achieve an adequate balance between the drive strength of the pull-up and the pull-down networks. Measurements showed that the lowest input voltage levels, which could be upconverted by the 10 chip samples, varied from 39 mV to 52 mV. Half of the samples could upconvert from 39 mV to 1 V. The simulated energy consumption of the level shifter was 5.2 fJ for an up-conversion from 0.2 V to 1 V and 1 MHz operating frequency.
-
Låte, Even; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2017). Extended Comparative Analysis of Flip-Flop Architectures for Subthreshold Applications in 28 nm FD-SOI. Microprocessors and microsystems.
ISSN 0141-9331.
48, s 11- 20 . doi:
10.1016/j.micpro.2016.07.016
Vis sammendrag
Nine D-type Flip-Flop (DFF) architectures were implemented in 28 nm FDSOI at a target, subthreshold, supply voltage of 200 mV. The goal was to identify promising DFFs for ultra low power applications. The single-transistor pass gate DFF, the PowerPC 603 DFF and the C2MOS DFF are considered to be the overall best candidates of the nine. The pass gate DFF had the lowest energy consumption per cycle for frequencies lower than 500 kHz and for supply voltages below 400 mV. It was implemented with the smallest physical footprint and it proved to be functional down to the lowest operating voltage of 65 mV in the typical process corner. During Monte Carlo (MC) process and mismatch simulations it was also found that the pass gate DFF is least prone to variations in both minimal setup- and minimal hold-time. Race conditions, during mismatch variations, occurred for the flip-flop that is constructed from NAND and inverter based multiplexers. The pass gate DFF is outperformed slightly when it comes to D-Q-based power-delay product and more significantly when it comes to the maximum clock frequency. The flip-flops having the shortest D-Q delays were the PowerPC 603 and the transmission gate D flip-flop, these also had the lowest D-Q-based power-delay of 26% and 30% respectively of that of the worst-case S2CFF power-delay product.
-
Vatanjou, Ali Asghar; Låte, Even; Ytterdal, Trond & Aunet, Snorre (2017). Ultra-Low Voltage and Energy Efficient Adders in 28 nm FDSOI Exploring Poly-Biasing for Device Sizing. Microprocessors and microsystems.
ISSN 0141-9331.
56, s 92- 100 . doi:
10.1016/j.micpro.2017.11.002
Vis sammendrag
Balancing the PMOS/NMOS strength ratio is a key issue to maximize the noise margin, and hence, the functional yield of CMOS logic gates and minimize the leakage energy per cycle in the subthreshold region. In this work, the PMOS/NMOS strength ratio was balanced using a poly-biasing technique in conjunction with back-gate biasing provided in a 28 nm fully depleted silicon on insulator (FDSOI) CMOS technology. A 32-bit adder based on minority-3 (min-3) gates and a 16-bit adder based on Boolean gates have been implemented. Chip measurement results of nine samples show highly energy efficient adders. The 32-bit and 16-bit adders achieved mean minimum energy points (MEP) of 20.8 fJ at 300 mV and 12.34 fJ at 250 mV, respectively. In comparison to adders reported in other works in the same technology, the energy per 1-bit addition of the 32-bit adder is improved by 37% . This improvement in energy consumption is 25% for the 16-bit adder. According to the measurement results of ten chips, the designed adders exhibited functionality down to supply voltages of 110 mV-125 mV, without body biasing. Additionally, the minimum Vdd of all the 32-bit adders based on minority-3 gates decreased to 80 mV by applying a reverse back bias voltage to the PMOS devices. One sample was functional at 79 mV with a 430 mV reverse back bias voltage applied to its PMOS devices.
-
Hasanbegovic, Amir & Aunet, Snorre (2016). Heavy Ion Characterization of Temporal-, Dual- and Triple Redundant Flip-Flops Across a Wide Supply Voltage Range in a 65 nm Bulk CMOS Process. IEEE Transactions on Nuclear Science.
ISSN 0018-9499.
63(6), s 2962- 2970 . doi:
10.1109/TNS.2016.2614781
Vis sammendrag
In this paper, we investigate the single event upset (SEU) response of five D flip-flops (DFFs) employing temporal redundancy, dual redundancy, and triple modular redundancy (TMR), across a wide supply voltage range. The DFFs were designed and fabricated in a low-power commercial 65 nm bulk CMOS process and were tested using heavy ions with linear energy transfer (LET) between 5:1 MeV-cm2=mg and 99:1 MeV-cm2=mg. Results show an increasing SEU vulnerability with decreasing supply voltage, for most of the DFFs. Nevertheless, radiation tolerant topologies exhibit 14x to 1328x better SEU tolerance than a standard non-radiation tolerant DFF, depending on supply voltage and LET. The general observation is that at normal incidence, while taking the entire LET spectrum into account, the dual interlocked storage cell (DICE) DFF has the best SEU tolerance at supply voltages of 1 V and 0.5 V. At a supply voltage of 0.25 V, a temporal redundant DFF shows the best SEU tolerance, while the TMR DFF shows the best SEU tolerance at a supply voltage of 0.18 V. At supply voltages of 0.5 V and below, increasing the angle of incidence to 45 degrees can increase the SEU rate of the implemented DICE DFF by up to a factor of 22x, making it one of the most SEU sensitive DFFs. Furthermore, utilizing high drive strength components in temporally redundant DFFs can reduce the SEU sensitivity by a factor of 3x to 112x, compared to when standard drive strength components are used.
-
Vatanjou, Ali Asghar; Låte, Even; Ytterdal, Trond & Aunet, Snorre (2016). Ultra-Low Voltage Adders in 28 nm FDSOI Exploring Poly-Biasing for Device Sizing, In Ivan Jørgensen & Jens Sparsøe (ed.),
Proceedings of the 2nd IEEE Nordic Circuits and Systems Conference (NORCaS), 2016.
IEEE conference proceedings.
ISBN 978-1-5090-1095-0.
article.
Vis sammendrag
Balancing the PMOS/NMOS strength ratio is a key issue to maximize the noise margin, and hence, functional yield of CMOS logic gates in the subthreshold region. In this work, the PMOS/NMOS strength ratio was balanced using a poly-biasing technique in conjunction with back-gate biasing provided in a 28 nm fully depleted silicon on insulator (FDSOI) technology. A 32-bit adder based on minority-3 (min-3) gates and a 16-bit adder based on Boolean gates have been implemented. Chip measurement results show highly energy efficient adders, so that the 32-bit and 16-bit adders achieved minimum energy point (MEP) of 21.5 fJ at 300 mV and 12.62 fJ at 250 mV, respectively. In comparison to adders reported in other works in the same technology, the energy per 1-bit addition of the 32-bit adder is improved by 35% and for the 16-bit adder this improvement in energy consumption is 23%. The designed adders were functional down to a supply voltage of 110 mV. Additionally, the minimum Vdd of the 32-bit adder decreased to 79 mV by applying a reverse back bias voltage to the PMOS devices.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2016). 28 nm UTBB-FDSOI energy efficient and variation tolerant custom digital-cell library with application to a subthreshold MAC block, In Andrzej Napieralski (ed.),
Proceedings of the 23rd International Conference - "Mixed Design of Integrated Circuits and Systems" (MIXDES), Lodz, Poland.
IEEE conference proceedings.
ISBN 9788363578084.
kapittel.
s 105
- 110
Vis sammendrag
This paper presents the design of digital logic cells for subthreshold applications using 28 nm ultra-thin body and box fully depleted silicon on insulator technology. The sizing approach relies on balancing pull-up/pull-down networks (PUN/PDN) strength ratio by applying an additional forward back-gate biasing (FBB) voltage to the back-gate of PMOS transistors. The minimum width of PMOS and NMOS transistors have been chosen by taking the narrow width effect into account. Moreover, to increase the functional yield of the logic cells, a trade-off has been made between Ion/Ioff ratio and energy consumption through increasing the channel length by 4 nm. Energy consumption of logic gates analyzed using ring-oscillators consisting of basic logic gates. It has been shown that balancing logic gates through applying an additional FBB to the PMOS back-gate instead of up-sizing PUN results in 30% lower energy consumption in ring-oscillators. An 8-bit multiply-accumulate (MAC) block was synthesized using the fully customized logic cells with asymmetric back-gate biasing. Compared to a state-of-the art MAC, the energy consumption of our MAC was improved by 21% at a relatively high speed (147 MHz).
-
Atarzadeh, Hourieh; Aunet, Snorre & Ytterdal, Trond (2015). An Ultra-Low-Power/High-Speed 9-bit Adder Design: Analysis and Comparison Vs. Technology from 130nm-LP to UTBBFD-SOI-28nm, In Jim Tørresen; Snorre Aunet; Tor Sverre Lande; Øyvind Kallevik Grutle & Ivan R. Nielsen (ed.),
Nordic Circuits and Systems Conference (NORCAS): NORCHIP & International Symposium on System-on-Chip (SoC), 2015.
IEEE conference proceedings.
ISBN 978-1-4673-6576-5.
chapter.
Vis sammendrag
A sub-threshold 9-bit adder based on a minority-3 based full adder is designed and analyzed versus technology. A power-delay design space exploration is presented in multiple technology nodes. The performances are demonstrated and compared on spanning technology nodes of 130nm-LP, 65nm-LP-BULK, 28nm-LP-high-k-bulk, 28nm Ultra-Thin-Body-and-BOX (UTFF) FDSOI. An extensive body biasing was then applied to the UTBB FDSOI 28nm technology to adapt the circuit to the target operating frequency of 65MHz. The extensive body biasing exploits the feature provided by the Ultra-Thin-Body-and-BOX Fully Depleted SOI (UTBB FDSOI) technology, which allows a bias range of -300mV/+3V. The design was implemented in physical level, and all the results account for the layout parasitics. A minimum energy point of 1.03fJ/(bit.cycle) is achieved in the 28nm-UTFF-FDSOI, at the 0.24V supply with the 1.8MHz operating speed. For the target frequency of 65MHz and a 9-bit adder, a total minimum energy operation of 11fJ per cycle for a supply voltage of 0.309V and a body voltage of 1.35V is achieved.
-
Hasanbegovic, Amir & Aunet, Snorre (2015). Supply Voltage Dependency on the Single Event Upset Susceptibility of Temporal Dual-Feedback Flip-Flops in a 90 nm Bulk CMOS Process. IEEE Transactions on Nuclear Science.
ISSN 0018-9499.
62(4), s 1888- 1897 . doi:
10.1109/TNS.2015.2454479
Vis sammendrag
In this paper we investigate the efficiency of using temporal and spatial hardening techniques in flip-flop design for single event upset (SEU) mitigation at different supply voltages. We present three novel SEU tolerant flip-flop topologies intended for low supply voltage operation. The most SEU tolerant flip-flop among the proposed flip-flop topologies shows ability of achieving maximum SEU cross-section below 1.9 ·10-10 cm2 /bit (no SEUs detected) at 500 mV supply voltage, 4 ·10-10 cm2 /bit at 250 mV supply voltage, and 2 ·10- 9 cm2 /bit at 180 mV supply voltage. When scaling the supply voltage from 1 V down to 500 mV, 250 mV and 180 mV, the proposed flip-flops achieve at least - 72%, - 92.5% and - 95% (respectively) reduction in energy per transition compared to a Dual Interlocked Storage Cell based flip-flop when operated at a supply voltage of 1 V. The flip-flops have been designed and fabricated in a low-power commercial 90-nm bulk CMOS process and were tested using heavy ions with LET between 8.6 MeV-cm2 /mg and 53.7 MeV-cm2 /mg.
-
Låte, Even; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Comparative Analysis of Flip-Flop Architectures for Subthreshold Applications in 28nm FDSOI, In Jim Tørresen; Snorre Aunet; Tor Sverre Lande; Øyvind Kallevik Grutle & Ivan R. Nielsen (ed.),
Nordic Circuits and Systems Conference (NORCAS): NORCHIP & International Symposium on System-on-Chip (SoC), 2015.
IEEE conference proceedings.
ISBN 978-1-4673-6576-5.
chapter.
Vis sammendrag
Nine D flip-flop architectures were implemented in 28nm FDSOI at a target, subthreshold, supply voltage of 200mV. The goal was to identify promising D flip-flops for ultra low power applications. The pass gate flip-flop was implemented using 49% of the S2CFF’s area and was functional at the lowest operating voltage of 65mV in the typical process corner. At the targeted supply voltage of 200mV the racefree DFF gives the best functional yield of 99.8%. The flip-flops having the shortest D-Q delays were the PowerPC 603 and the transmission gate D flip- flop. These also had the lowest power delay products of 52.08aJ and 61.09aJ respectively.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). 4 Sub-/Near-Threshold Flip-Flops with Application to Frequency Dividers, In Bjørn B. Larsen & Görschwin Fey (ed.),
Proceedings, 2015 European Conference on Circuit Theory and Design.
IEEE conference proceedings.
ISBN 978-1-4799-9877-7.
chapter.
Vis sammendrag
Four different flip-flops dimensioned for subthreshold operation have been designed and implemented in layouts. The four full custom, race-free, D-flip-flops were implemented in a standard 65 nm CMOS process and verified by measurements, when used in 2 divide-by-3 circuits. The first frequency divider, using standard topologies, demonstrated functionality down to a supply voltage of 132 mV, while the second variant, based on a recently proposed ”‘slice-based”’ approach, was functional for a supply voltage down to 137 mV. The frequency divider using traditional 4-transistor NAND and NOR topologies had lower energy per operation than the alternative 8-transistor NAND and NOR implementation. At 0.1 MHz, the figures were about 2.1 fJ and 3.5 fJ, respectively. For supply voltages from 0.2 to 1.2 V, a static flip-flop using 8-transistor NOR- gates plus one inverter had the lowest static power consumption among the 4 flip-flops.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Energy efficient sub/near-threshold ripple-carry adder in standard 65 nm CMOS, In Inon Abdul Hamid; Yiren Chen; Chen-Yong Cher & Ali A. Iranmanesh (ed.),
Proceedings of the 6th Asia Symposium on Quality Electronic Design.
IEEE conference proceedings.
ISBN 978-1-4673-7495-8.
kapittel.
s 7
- 12
Vis sammendrag
This manuscript includes chip measurements for a 32-bit Ripple-Carry Adder (“RCA”), demonstrating functionality for a supply voltage (“Vdd”) down to 84 mV. The low Vdd might be the lowest reported for comparable CMOS circuitry, not depending on special schmitt-trigger based logic or body biasing. Two 32-bit ripple-carry adders are implemented in 65 nm CMOS, having all gate lengths of 60 nm and 80 nm, respectively. The implementation having 80 nm gate lengths exploits secondary effects like the Reverse Short Channel Effect (“RSCE”) to provide lower energy per operation, compared to the 60 nm implementation, when operated down to subthreshold supply voltages. Dimensioning for symmetric noise margins, and using minority-3 circuits and inverters only, with regular layouts, contribute to the ultra low Vdd potential. According to simulations, the energy per operation could be down to about 1.5 fJ/bit for the implementation, based on L = 80 nm. For delays in the 20 ns to 110 ns range, the energy consumption for the RCA having L = 60 nm, was from 18.5 to 47 % higher than the RCA having L = 80 nm. The area was 9.7 % less for the L = 80 nm implementation, compared to the L = 60 nm RCA. (The manuscript won the best paper award.)
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Exploiting Short Channel Effects and Multi-Vt Technology for Increased Robustness and Reduced Energy Consumption, with Application to a 16-bit Subthreshold Adder Implemented in 65 nm CMOS, In Bjørn B. Larsen & Görschwin Fey (ed.),
Proceedings, 2015 European Conference on Circuit Theory and Design.
IEEE conference proceedings.
ISBN 978-1-4799-9877-7.
chapter.
Vis sammendrag
When using standard multi-Vt CMOS processes when making logic gates, often for example Low-Vt (LVT), or Standard-Vt (SVT) or High-Vt (HVT) transistors are used within one and the same basic logic building block, like for example a NAND or NOR circuit. We show, to the contrary, how a combination of different types within a single logic circuit may be exploited to reduce energy consumption and increase robustness towards process variations. Additionaly, Reverse Short Channel Effects (RSCE) are exploited by using non-minimum gate lengths for increased robustness agains process variations. Also, a recently proposed technique using very regular layouts accompanying the above mentioned techniques in a 16-bit adder implemented in 65 nm CMOS. Chip measurements using Sub-/Nearthreshold supply voltages demonstrate the functionality of the adder for a voltage range of 119 mV to 350 mV. Simulations show that by increasing gate lengths to 200 nm instead of the minimum 60 nm, may increase the footprint area of logic gates by only 12%, while at the same time reducing probability of failure by up to several orders of magnitude. Simultaneously, energy per operation is reduced, when compared to conventional design methods using minimum, or relatively short, gate lengths.
-
Bjerkedok, Jonathan Edvard; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2014). Modular Layout-friendly Cell Library Design Applied for Subthreshold CMOS, In
Proceedings of the 32nd Norchip Conference.
IEEE conference proceedings.
ISBN 978-1-4799-5442-1.
kapittel.
-
Værnes, Magne; Ytterdal, Trond & Aunet, Snorre (2014). Performance comparison of 5 Subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout, In
Proceedings of the 32nd Norchip Conference.
IEEE conference proceedings.
ISBN 978-1-4799-5442-1.
kapittel.
-
Berge, Hans Kristian Otnes & Aunet, Snorre (2013). Yield-Oriented Energy and Performance Model for Subthreshold Circuits with Vth Variations, In Lukas Sekanina; Görschwin Fey; Jaan Raik; Snorre Aunet & Richard Růžička (ed.),
Proceedings of the 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).
IEEE.
ISBN 978-1-4673-6133-0.
kapittel.
s 193
- 198
-
Hasanbegovic, Amir & Aunet, Snorre (2013). Proton Beam Characterization at Oslo Cyclotron Laboratory for Radiation Testing of Electronic Devices, In Lukas Sekanina; Görschwin Fey; Jaan Raik; Snorre Aunet & Richard Růžička (ed.),
Proceedings of the 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).
IEEE.
ISBN 978-1-4673-6133-0.
paper.
s 135
- 140
-
Lütkemeier, Sven; Jungeblut, Thorsten; Berge, Hans Kristian Otnes; Aunet, Snorre; Porrmann, Mario & Rückert, Ulrich (2013). A 65 nm 32 b Subthreshold Processor With 9T Multi-Vt SRAM and Adaptive Supply Voltage Control. IEEE Journal of Solid-State Circuits.
ISSN 0018-9200.
48(1), s 8- 19 . doi:
10.1109/JSSC.2012.2220671
Vis sammendrag
Abstract—An energy-efficient SoC with 32 b subthreshold RISC processor cores, 32 kB conventional cache memory, and 9T ultra-low voltage (ULV) SRAM based on a flexible and extensible architecture was fabricated on a 2.7 mm test chip in 65 nm low power CMOS. The processor cores are based on a custom standard cell library that was designed using a multiobjective approach to optimize noise margins, switching energy, and propagation delay simultaneously. The cores operate over a supply voltage range from 200 mV (best samples) to 1.2 V with clock frequencies from 10 kHz to 94 MHz at room temperature. The lowest energy consumption per cycle of 9.94 pJ is observed at 325 mV and 133 kHz. A 2 kb ULV SRAM macro achieves minimum energy per operation at averages of 321 mV (0.030 sigma/micron), 567 fJ (0.037 sigma/micron ), and 730 kHz (0.184 sigma/micron), for equal number of 32 b read/write operations. The off-chip performance and power management subsystem provides dynamic voltage and frequency scaling (DVFS) combined with an adaptive supply voltage generation for dynamic PVT compensation.
-
Cao, Tuan Vu; Aunet, Snorre & Ytterdal, Trond (2012). A 9-bit 50MS/s asynchronous SAR ADC in 28nm CMOS, In Tor Sverre Lande (ed.),
Proceedings of the 30th Norchip Conference, NORCHIP12.
IEEE Press.
ISBN 978-1-4673-2222-5.
Article.
s 1
- 6
-
Aunet, Snorre (2011). On the Reliability of Ultra Low Voltage Circuits Built From MINORITY-3 GATES, In J Jacob Wikner (ed.),
Proceedings of the European Conference on Circuit Theory and Design.
IEEE conference proceedings.
ISBN 9781457706165.
chapter.
-
Berge, Hans Kristian Otnes & Aunet, Snorre (2011). Multi-Objective Optimization of Minority-3 Functions for Ultra Low Voltage Supplies, In Marcelo Lubaszewski; ISCAS IEEE (ed.),
Proc. 2011 IEEE International Symposium on Circuits and Systems.
IEEE conference proceedings.
ISBN 978-1-4244-9472-9.
paper.
-
Berge, Hans Kristian Otnes & Aunet, Snorre (2011). Multi-Objective Optimization of Minority-3 Functions for Ultra-Low Voltage Supplies. IEEE International Symposium on Circuits and Systems proceedings.
ISSN 0271-4302.
s 2313- 2316
-
Berge, Hans Kristian Otnes; Hasanbegovic, Amir & Aunet, Snorre (2011). Muller C-elements based on Minority-3 Functions for Ultra Low Voltage Supplies, In Heinrich Vierhaus (ed.),
Proceedings of the 14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.
IEEE conference proceedings.
ISBN 978-1-4244-9753-9.
kapittel.
-
Hasanbegovic, Amir & Aunet, Snorre (2011). Low-power subthreshold to above threshold level shifters in 90 nm and 65 nm process. Microprocessors and microsystems.
ISSN 0141-9331.
35(1), s 1- 9 . doi:
10.1016/j.micpro.2010.11.003
-
Moradi, Farshad; Cao, Tuan Vu; Wisland, Dag T; Aunet, Snorre & Mahmoodi, Hamid (2011). Optimal Body Biasing for Maximizing Circuit Performance in 65nm CMOS Technology. The ... Midwest Symposium on Circuits and Systems conference proceedings.
ISSN 1548-3746.
-
Aunet, Snorre & Hasanbegovic, Amir (2010). Memory Elements Based on Minority-3 Gates and Inverters Implemented in 90 nm CMOS, In Zdenek Kotasek (ed.),
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.
IEEE Press.
ISBN 978-1-4244-6610-8.
kapittel.
s 267
- 272
Vis sammendrag
Two memory elements, or latches, are introduced. They are similar in functionality to widely used NOR- and NAND-based crosscoupled latches, but unlike the traditional latches they do not risk to produce stable states where Q and Q' have identical binary values. The suggested solutions are built from two inverters and one minority-3 gate. Monte Carlo simulations in 90 nm CMOS are used to demonstrate that the circuits may maintain the digital abstraction under mismatch and process variations for a supply voltage down to 140 mV at 20 degrees C and 100 nm gate lengths. Chip measurements are included, for a supply voltage of 160 mV.
Se alle arbeider i Cristin
-
Tørresen, Jim; Aunet, Snorre; Lande, Tor Sverre; Grutle, Øyvind Kallevik & Nielsen, Ivan R. (ed.) (2015). Nordic Circuits and Systems Conference (NORCAS): NORCHIP & International Symposium on System-on-Chip (SoC), 2015.
IEEE conference proceedings.
ISBN 978-1-4673-6576-5.
275 s.
-
Sekanina, Lukas; Fey, Görschwin; Raik, Jaan; Aunet, Snorre & Růžička, Richard (ed.) (2013). Proceedings of the 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).
IEEE.
ISBN 978-1-4673-6133-0.
320 s.
Se alle arbeider i Cristin
-
Aunet, Snorre (2018). Possibilities with ultra low power / low energy integrated circuits.
-
Choe, Ju Song; Gheorghe, Codin & Aunet, Snorre (2018). Test system design for a Photomultiplier Readout Board.
Vis sammendrag
The S-DAM front-end board (S-DAM-FEB) is a photomultiplier readout module for charged particles detection. This board has been designed for the readout of sensors in radiation monitors by the company, Integrated Detector Electronics AS (IDEAS). A large amount of S-DAM-FEB will be used in the neutron detector in the ESS (European Spallation Source) in Sweden for scientific research. Thus, hundreds of these modules are planned to be manufactured by third party of EMS (Electronic Manufacturing Service) and each board has to be validated after production. To be able to validate the DUTs efficiently, it has been decided to create a test system. In this thesis, the main focus was on the implementation of the test system to validate the functionality of the S-DAM-FEB. The project work included specifying the test requirements, conceptualization of the test system, schematic design and PCB design of needed hardware as well as implementing firmware and software for the test system. The needed Python scripts were created to run the test and log the test results into a test report. The mechanics of the test system was modelled by drawing a 3D-model, and the mechanical components were chosen according to the drawings. After implementing all the modules to be used for the test system, these modules were assembled together. The S-DAM-FEB was tested using the implemented test system. All functionality of the DUT was tested, including power consumption and temperature as well as gain, threshold and baseline for all channels. Minor fault in the DUT were found by the test, indicating that some failure has occurred during the production process. All the test results were logged into a test report for tracking the modules for future use and determine the condition of DUTs after production. The test system is in a working condition. Performing the validation test simple and easy. The runtime of the test is decreased, and most of the manual work is replaced by automatized process to get more reliable data and minimize possible human errors. The implementation of the test system was successful, and a large amount of S-DAM-FEB are ready to be validated.
-
Paldas, Auritro; Barzic, Ronan & Aunet, Snorre (2018). Towards Predictable Placement of Standard Cells for Regularly Structured Designs.
Vis sammendrag
A lot of components in modern digital designs have very regular structures. Some examples are Programmable Ring Oscillators, Time to Digital Converters and CPU register files. The proper functioning of these components heavily depend on the way they are implemented in the design with respect to the placement of standard cells. This is due to the fact that many of these components are delay sensitive and the placement of cells in the layout affects the delay. Standard place and route tools, however, do not always ensure that the placement of standard cells is regularised, which can lead to sub-optimal results from these designs. The work on this thesis is aimed towards ensuring a regular placement of standard cells for such components, by developing a framework in a high-level language, from which the placement information needed by the place and route tools can be obtained. This information, when used by the tool, should result in a more predictable placement of standard cells, and should thus result in more optimal behaviour of such components.
-
Rørstad Helle, Even; Moldsvor, Øystein; Hernes, Bjørnar & Aunet, Snorre (2018). Humidity Sensor.
-
Stubsjøen, Sivert; Moldsvor, Øystein & Aunet, Snorre (2018). Force measurement using a capacitive sensor and a compressible material.
Vis sammendrag
Disruptive Technologies are developing sensor solutions for the Internet of Things. Their current sensors can measure touch, temperature, and proximity. To expand the area of applications their current sensors cover, new sensor solutions are examined. The one studied in this thesis is a capacitive sensor measuring force. The idea is to place a compressible material on the front of Disruptive Technologies capacitive proximity sensor and use it to measure force. A compression of the material would lead to an increased capacitance measured. This thesis covers the work of finding suitable materials and the practical measurements done to characterize the capacitive sensor and the compressible material. Testing was done at two different materials that had properties useful for the intended application. These tests revealed that neither of the materials was optimal for a solution as described above. For different series of measurements, the values measured by the sensor variated for the same applied load. This made the work of creating a good fitting data model difficult. The proposed models could not predict with high probability the values measured by the sensor for the various applied loads. This lead to the conclusion that either the materials or the chosen sensor solution was not the optimal one for measuring force. As a result of this, two other force sensing methods using the same sensor is presented that can be further investigated in future work.
-
Østerhus, Stian; Ytterdal, Trond & Aunet, Snorre (2018). Subthreshold CMOS Cell Library by 22 nm FDSOI Technology.
Vis sammendrag
Two different CMOS transistors with a low threshold voltage, given by a commercial available 22 nm FDSOI CMOS technology were investigated and assembled into several libraries of logic gates. The logic gates provided in the cell library should be sufficient to create most digital logic circuits, and are in addition designed to work in the subthreshold region with a supply voltage of 350 mV. Physical layout designs were made for the different digital ports, where parasitic capacitances were then extracted to provide more realistic simulations and performance results. Compared to schematic simulation, layout design and parasitic capacitances proved to reduce speed by a factor of 5 to 10, as well as increasing the transistors’ threshold voltage by 14.6 % for the NMOS, and 32.5 % for the PMOS. The increased threshold voltage thus led to a reduced static power consumption and increased switching energy. The transistor with the lowest threshold voltage showed especially good performance results with respect to low power consumption while still maintaining speed requirements. This transistor is throughout the report referred to as mosfet low. Two cell libraries were made for this transistor, where one applies a forward body-bias of ±2 V while the other have the bulk nodes connected to ground, which gives a 0 V body-bias. The libraries are supplied with schematics and layout designs, and are in addition mapped for performance data such as static power consumption, delay and switching energy consumption for every logic gate. A minimum speed of 40 MHz with a lowest possible power consumption for a 16by12-bit adder, was the aim of the project. Presented in this report is a 16by12-bit Adder built by Ripple-Carry Adders, which were simulated to reach a speed of 44.26 MHz at a supply voltage of VDD=350 mV with 0 V body-bias. Static power and switching energy consumption were simulated to 26.60 µW and 207.95 fJ, respectively.
-
Aunet, Snorre (2017). Introduction to ultra-low power electronic circuits design.
-
Aunet, Snorre (2017). Introduction to ultra-low power electronic circuits design.
-
Aunet, Snorre (2017). Introduction to ultra-low power electronic circuits design.
-
Aunet, Snorre (2017). Ultra-low power electronic circuits for medical applications.
-
Hasanbegovic, Amir; Siem, Sunniva; Søråsen, Oddvar & Aunet, Snorre (2017). Exploring the SEU Dependence on Supply Voltage scaling in 90 nm and 65 nm CMOS Flip-flops. Fulltekst i vitenarkiv.
-
L'Orange, Simon; Hagen, Anders; Blekken, Brage; Ytterdal, Trond & Aunet, Snorre (2017). 4-7Ghz Tunable Programmable Pulse Generator in 65nm CMOS.
-
Lesund, Martin; Tjora, Sigve & Aunet, Snorre (2017). Ultra-low power serial communication for Internet of Things.
-
Lid, Gunnar; Hagen, Anders; Blekken, Brage; Ytterdal, Trond & Aunet, Snorre (2017). Ultra-low power Design of DSRC modulator/demodulator in 28nm FD_SOI.
-
Tørresen, Jim & Aunet, Snorre (2017). Special issue: Selected papers from the 1st NORCAS conference (2015 Nordic Circuits and Systems Conference (NORCAS): Norchip & International Symposium on System-on-Chip (SoC)). Microprocessors and microsystems.
ISSN 0141-9331.
48, s 1- 2 . doi:
10.1016/j.micpro.2016.11.008
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2017). Ultra-Low Voltage/Energy CMOS Building Blocks in 28 nm FDSOI Technology.
-
Aunet, Snorre & Tørresen, Jim (2016). Special issue: selected papers from the 1st NORCAS conference (2015 Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium on System-on-Chip (SoC)). Analog Integrated Circuits and Signal Processing.
ISSN 0925-1030.
89(2), s 271- 272 . doi:
10.1007/s10470-016-0847-5
-
Barua, Anomadarshi; Edwin, David & Aunet, Snorre (2016). Voice over mesh network.
-
Kvam Oma, Åsmund; Låte, Even; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2016). Design of a near-threshold microcontroller.
Vis sammendrag
There is a strong interest in ultra low voltage digital design as emerging applications like Internet of Things, wearable biomedical sensors, radio frequency identification, sensor networks and more are gaining traction. This thesis describes the implementation, synthesis and testing of a microcontroller using a near-threshold library. The system has been described in VHDL and synthesized for near-threshold operation on 28 nm FDSOI production technology from STmicroelectronics. The microcontroller implements a 32 bit RISC-V subset compatible pipelined processor and has SPI connectivity. Two single port 2kB SRAM modules are used as RAM. A power gating technique that reduces the static power in an ALU during runtime has been implemented and compared to a traditional ALU. Traditional coarse grain power gating of the processor has also been implemented. Using a supply voltage of 350 mV and a clock speed of 1 MHz the schematic SPICE simulation reported an average power consumption of 4.42 mW during program execution. In power gated mode the microcontroller consumed 2.98 mW. In a sensor logging program the average energy per executed instruction was 4.91 pJ. Runtime power gating reduced the average energy consumption of the ALU with 58 - 57% with a propagation delay penalty of 346 - 143% depending of the sizing of the power gating transistors.
-
Liknes, Kai Robert; Hernes, Bjørnar & Aunet, Snorre (2016). Ultra Low Leakage Memory.
Vis sammendrag
Three 64-byte memory systems were designed for a 0.18µm standard CMOS technology, one 6T-SRAM system and two D-Flip-Flop systems. The leakage current, read energy and write energy of these systems were determined by simulation. A set of extrapolation formulas for area, leakage current, read energy and write energy were designed to determine the characteristics of the systems as the size of the memory increases. The simulations showed that the 64-Byte 6T-SRAM system had a 39% lower area, an 83% lower leakage current, an 89% lower write energy and an 82% lower read energy than the reference D-Flip-Flop memory system. The extrapolation formulas predicted that as memory sizes increases, SRAM becomes more and more favorable in terms of area, leakage current, write energy and read energy.
-
Vatanjou, Ali Asghar; Låte, Even; Ytterdal, Trond & Aunet, Snorre (2016). 28 nm UTBB-FDSOI energy efficient and variation tolerant custom digital-cell library with application to a subthreshold MAC block.
-
Vatanjou, Ali Asghar; Låte, Even; Ytterdal, Trond & Aunet, Snorre (2016). Ultra-Low Voltage Adders in 28 nm FDSOI Exploring Poly-Biasing for Device Sizing.
-
Atarzadeh, Hourieh; Aunet, Snorre & Ytterdal, Trond (2015). An Ultra-Low-Power/High-Speed 9-bit Adder Design: Analysis and Comparison Vs. Technology from 130nm-LP to UTBBFD-SOI-28nm.
-
Aunet, Snorre (2015). Ultra Low Power / Low Energy Integrated Circuits.
-
Aunet, Snorre (2015). Ultra Low-Voltage / Low energy circuits.
-
By, Mathias; Kile, Eirik & Aunet, Snorre (2015). FPGA Implementation and Evaluation of a Genetic Algorithm for Digital Adaptive Nulling using Space-Time Adaptive Processing..
Vis sammendrag
The thesis is done in cooperation with Kongsberg Defence Systems.
-
Hals, Erik; Aunet, Snorre; Ramstad, Tor Audun; Hagen, Anders & Blekken, Brage (2015). Lav effekt sensornettverk, for registrering av kjøretøy.
-
Holen, Aslak Lykre; Ytterdal, Trond & Aunet, Snorre (2015). Implementation and Comparison of Digital Arithmetics for Low Voltage / Low Energy Operation.
-
Låte, Even; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Comparative Analysis of Flip-Flop Architectures for Subthreshold Applications in 28nm FDSOI.
Vis sammendrag
Nine D flip-flop architectures were implemented in 28nm FDSOI at a target, subthreshold, supply voltage of 200mV. The goal was to identify promising D flip-flops for ultra low power applications. The pass gate flip-flop was implemented using 49% of the S2CFF's area and was functional at the lowest operating voltage of 65mV in the typical process corner. At the targeted supply voltage of 200mV the racefree DFF gives the best functional yield of 99.8%. The flip-flops having the shortest D-Q delays were the PowerPC 603 and the transmission gate D flip-flop. These also had the lowest power delay products of 52.08aJ and 61.09aJ respectively.
-
Pedersen, Arne Olav Gurvin; Gheorghe, Codin & Aunet, Snorre (2015). Design of an ASIC Evaluation Kit - Conceptualization, schematic design, PCB layout and preliminary testing of a mixed-signal board.
Vis sammendrag
The development of an upcoming ASIC by the company IDEAS calls for the design of an accompanying evaluation kit so that it may be accessible for testing in a laboratory setting. In addition to supporting the ASIC in question, the kit was decided to be made general purpose, leading to the support of various other ASICs from IDEAS, both future and existing ones. This thesis focuses on the creation of the primary board in the evaluation kit, named the Galao board. The project work included conceptualization, schematic design and PCB design of the Galao board, as well as producing relevant documentation for IDEAS. Being a mixed-signal general purpose board, effort has been made to include a high number of features and connectivity options: Galao holds specialized analog circuits for receiving differential signals, transmitting fast pulses of adjustable amplitude, registering trigger signals for readout synchronization as well as containing a broad assortment of both voltage and current bias generators. On the digital side, a System on Module solution has been incorporated, serving as a control unit for all the analog circuitry while providing a large amount of digital I/Os. The board has been made so that it may be accessed through Ethernet, USB and JTAG interfaces. Furthermore, the Galao board has several power rails, both for the analog and digital domain, with the PCB designed so that digital switching has a minimal impact the analog signals. The Galao board was finally produced, allowing for some initial testing. The results of the conducted tests are positive, as the board powers up and is operational with its digital logic being fully controllable. Some faults in the schematic have been discovered after the manufacturing of the Galao board, but these have all been readily fixable. The board is hence in a working condition, and ready to be interfaced towards an ASIC with an ASIC test board that is to be designed.
-
Skjølsvik, Hallstein; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Ultra low voltage combinatorial logic building blocks.
-
Talstad, Joar Nikolai; Diaz, Isael; Øye, Jan Egil & Aunet, Snorre (2015). Channel Filter Cross-Layer Optimization.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). 4 Sub-/Near-Threshold Flip-Flops with Application to Frequency Dividers.
Vis sammendrag
Four different flip-flops dimensioned for subthreshold operation have been designed and implemented in layouts. The four full custom, race-free, D-flip-flops were implemented in a standard 65 nm CMOS process and verified by measurements, when used in 2 divide-by-3 circuits. The first frequency divider, using standard topologies, demonstrated functionality down to a supply voltage of 132 mV, while the second variant, based on a recently proposed ”‘slice-based”’ approach, was functional for a supply voltage down to 137 mV. The frequency divider using traditional 4-transistor NAND and NOR topologies had lower energy per operation than the alternative 8-transistor NAND and NOR implementation. At 0.1 MHz, the figures were about 2.1 fJ and 3.5 fJ, respectively. For supply voltages from 0.2 to 1.2 V, a static flip-flop using 8-transistor NOR- gates plus one inverter had the lowest static power consumption among the 4 flip-flops.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Energy efficient sub/near-threshold ripple-carry adder in standard 65 nm CMOS.
Vis sammendrag
This manuscript includes chip measurements for a 32-bit Ripple-Carry Adder (”RCA”), demonstrating functionality for a supply voltage ("Vdd") down to 84 mV. The low Vdd might be the lowest reported for comparable CMOS circuitry, not depending on special schmitt-trigger based logic or body biasing. Two 32- bit ripple-carry adders are implemented in 65 nm CMOS, having all gate lengths of 60 nm and 80 nm, respectively. The implementation having 80 nm gate lengths exploits secondary effects like the Reverse Short Channel Effect (”RSCE”) to provide lower energy per operation, compared to the 60 nm implementation, when operated down to subthreshold supply voltages. Dimensioning for symmetric noise margins, and using minority-3 circuits and inverters only, with regular layouts, contribute to the ultra low Vdd potential. According to simulations, the energy per operation could be down to about 1.5 fJ/bit for the implementation, based on L = 80 nm. For delays in the 20 ns to 110 ns range, the energy consumption for the RCA having L = 60 nm, was from 18.5 to 47 % higher than the RCA having L = 80 nm. The area was 9.7 % less for the L = 80 nm implementation, compared to the L = 60 nm RCA.
-
Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2015). Exploiting Short Channel Effects and Multi-Vt Technology for Increased Robustness and Reduced Energy Consumption, with application to a 16-bit Subthreshold Adder Implemented in 65 nm CMOS.
Vis sammendrag
When using standard multi-Vt CMOS processes when making logic gates, often for example Low-Vt (LVT), or Standard-Vt (SVT) or High-Vt (HVT) transistors are used within one and the same basic logic building block, like for example a NAND or NOR circuit. We show, to the contrary, how a combination of different types within a single logic circuit may be exploited to reduce energy consumption and increase robustness towards process variations. Additionaly, Reverse Short Channel Effects (RSCE) are exploited by using non-minimum gate lengths for increased robustness agains process variations. Also, a recently proposed technique using very regular layouts accompanying the above mentioned techniques in a 16-bit adder implemented in 65 nm CMOS. Chip measurements using Sub-/Nearthreshold supply voltages demonstrate the functionality of the adder for a voltage range of 119 mV to 350 mV. Simulations show that by increasing gate lengths to 200 nm instead of the minimum 60 nm, may increase the footprint area of logic gates by only 12%, while at the same time reducing probability of failure by up to several orders of magnitude. Simultaneously, energy per operation is reduced, when compared to conventional design methods using minimum, or relatively short, gate lengths
-
Zapatero, Miguel & Aunet, Snorre (2015). Synthesis of low-energy near-threshold SHMAC processor core.
-
Benemann, Danton Canut; Milch Pedersen, Frode & Aunet, Snorre (2014). Ultra-low voltage embedded processor system for Internet-of-Things microcontrollers.
-
Bjerkedok, Jonathan Edvard; Vatanjou, Ali Asghar; Ytterdal, Trond & Aunet, Snorre (2014). Modular Layout-friendly Cell Library Design Applied for Subthreshold CMOS.
-
Dybwad, Patrick; Hasanbegovic, Amir & Aunet, Snorre (2014). Automated Single Event Transient simulation program using high-level programming.
-
Green, Allan; Barzic, Ronan & Aunet, Snorre (2014). Ultra-low Power Stack-based Processor for Energy Harvesting Systems.
Vis sammendrag
The work is done in cooperation with Atmel Norway, with Ronan Barzic as advisor at Atmel. Summary: The fast evolution of the Internet of Things suggests an unavoidable transition to this infrastructure in the near future, and to achieve this multiple nodes need to interconnect and communicate efficiently. All nodes will need a power source to operate. Most of them will have very low power consumption requirements. Therefore, a possible solution would be to have an energy harvesting system for the nodes. The energy harvesting systems will need a CPU to control all operations and to manage the power consumption. The goal of this assignment is to create a base processor capable of controlling the system using ultra-low levels of power. The proposed approach for the assignment is to use a stack processor. Using the J1 processor as a reference, a new architecture was designed. The design process was done following the design flow tools used by Atmel and covered the simulation, testing, synthesis and place and route process. The end result of the assignment was a functional stack processor system with the capability to communicate with I/O modules using a Wishbone bus. A custom assembler was created using Arch C to simplify the testing of the architecture. The design was simulated, synthesized and routed using specific libraries from Atmel. The assignment completed a working design flow that will allow the realization of a proper power analysis in the next phase of development. The stack processor architecture shows high potential for ultra-low power operations. Further time and power analysis is needed to have a complete comparison with other processors.
-
Johnsen, Glenn Andre; Herheim, Jan Rune & Aunet, Snorre (2014). Full-Custom Sub-/Near-Threshold Cell Library in 130nm CMOS with Application to an ALU.
Vis sammendrag
The work was done in coopertion with Atmel Norway (www.atmel.com). Summary: This thesis presents a cell library with limited functionality targeting to operate in sub-threshold (350mV) as well as above-threshold (1.2V) voltages utilizing the dynamic speed requirement of the circuit. The sub-threshold cell library can be used to synthesize any general Finite State Machine (FSM) since it contains logic gates and a D-FF memory element. The sub-threshold cell library proposed in this thesis consists of: Inverter, NAND2, NOR2, XNOR2, XOR2, AOI22, OAI22 and D flip-flop. All cells are designed with static CMOS and use of 130 nm HVT n-well process. The main motivation behind this work is the desirable for longer lasting battery powered IC chips. CMOS power consumption includes three components where the dynamic component is Pdyn ∝ V 2 DD . Hence, a promising method to reduce power consumption is to reduce the supply voltage V DD to the sub-threshold region. The reduction of V DD increases the delay through the circuit (excellent trade-off in application with low performance requirements) and increases sensitivity to process, voltage and temperature (PVT) variations. The sub-threshold cells are evaluated with an ALU synthesized into three circuits: No.1: unlimited, with use of provided above-threshold cells; No.2: limited to INV, NAND2, NOR2 and D-FF with sub- and above-threshold cell library; and No.3: limited as No.2 + XNOR2, XOR2, AOI22 and OAI22 with sub- and above-threshold cell library. The results shows a power consumption reduction of ∼ 14 times from V DD = 1.2V to 350mV for both No.2 and No.3 ALU circuit. It is also shown that a more complex library including XNOR2, XOR2, AOI22 and OAI22 reduces the power consumption with ∼ 7.7% compared to a library with only Inverter, NAND2, NOR2 and D-FF at 350mV. The No.3 circuit is shown to be the best ALU with use of sub-threshold cells in term of delay and power consumption. Both No.2 and No.3 only fails to comply with the 32KHz frequency in SS and FS corner in −40 ◦ C , 350mV and with use of sub-threshold cells, whereas with use of above-threshold cells fails in all except FF corner in −40 ◦ C , in addition to failing in SS corner at 25 ◦ C .
-
Låte, Even; Schanche, Morten; Bugge, Håkon & Aunet, Snorre (2014). Transaction Level Modeling of a PCI Express Root Complex.
Vis sammendrag
The work was done in cooperation with Oracle, Oslo, Norway. Summary: PCI Express(PCIe) is a packet-based, serial, interconnect standard that is widely deployed within servers and workstations for it’s attractive performance capabilities. A platform that has a PCIe architecture also includes a PCIe Root Complex(RC) for linking the PCIe device-tree to the host CPU and memory. During the design-phase of a PCIe endpoint-device it is highly desired to conduct computer aided simulations of the device in a relevant environment. Having a simulation software that can be applied early and iteratively in the design-phase enables engineers to tweak the product without realization of hardware. Causing a great reduction in the number of physical prototypes required before mass production. In this thesis a transaction level model(TLM) of a PCIe RC was assembled using SystemC, with a focus on latency and jitter as performance parameters. The model gives the Application Specific Integrated Circuit(ASIC) developers at Oracle a timing accurate alternative to the existing processor emulator(QEMU) that is used for the same purpose. To correlate the RC TLM with real hardware, a PCIe protocol analyzer from LeCroy was utilized. Traffic between a first generation PCIe endpoint-device and a SUN FIRE X4170 M3 server was traced. The RC TLM was made in a modular manner allowing support for other micro-architectures through insertions of trace files. The recorded traces between requests and completions were processed and inserted directly into a delay database within the RC model, to ensure high correlation between the RC TLM and the real hardware. A simple model of a PCIe endpoint-device was implemented to serve as a suitable test-environment. The functionality and the hardware realisticness of the RC model was successfully tested with targeted transaction scenarios. A simulated latency distribution of 15000 packets, proved to fit the latency distribution that was randomly drawn in the RC TLM. Only a small amount of negligible delay anomalies from imperative switch cycles were found. The PCIe RC TLM is close to optimal for modeling latency and jitter using a database of targeted trace calbrations. The principle of modeling delays in an RC TLM using latency databases, was found to be a favorable alternative to the constant delay nature of the QEMU test-environment. The master thesis won the award for the best thesis within microelectronics, at NTNU, 2014.
-
Myrvang Ro, Hans Jørgen; Øye, Jan Egil & Aunet, Snorre (2014). Analysis and Visualisation of Clock Three power in a full-chip-design.
Vis sammendrag
Oppgaven er båndlagt. / The thesis will not be public until 3 years from now (some time in 2017).
-
Samstad Kjøbli, Ole; Aunet, Snorre & Herheim, Jan Rune (2014). Ultra-Low Voltage SRAM in 130 nm CMOS Process.
Vis sammendrag
Energy harvesting systems typically contain a low-power embedded processor in order to collect and interpret sensory data and such a processor will need memory to store that data. The most effective method of reducing power consumption in an electronic circuit is to decrease the supply voltage and this thesis explores the viability of implementing an ultra-low voltage SRAM architecture in a 130nm CMOS process for Atmel Norway AS. The architecture supports voltage scaling between 400mV and a regular supply voltage of 1.2V. The architecture was implemented with conventional 6T SRAM cells and 10T SRAM cells designed for low-voltage operations using state of the art design techniques and literature. The SRAM architecture is asynchronous and self-timed to more easily cope with the effects of process and temperature variations. To realize the architecture a small set of logic gates were also designed for ultra-low voltage operation and used in the SRAM read and write control circuitry. All building blocks in the architecture were simulated with extracted parasitics to get more realistic simulation results. Corner and Monte Carlo simulations were used to show how temperature and process variations statistically affected the building blocks and their performance. Simulation results showed that the 10T SRAM cell is more robust with a 60-100% larger static noise margin compared to the conventional 6T cell, but draws 1.2-1.6 times more leakage power and is physically 64% larger. The differential nature of the 6T cell makes its read operations faster compared to the 10T cell, but the offset voltage in the sense amplifiers used for reading reduces the potential speed gain somewhat. The 6T cell also experience a disturb voltage during read operations and the nature of this disturbance is different at subthreshold and superthreshold voltages, making it difficult to assess yield in a system supporting voltage scaling. the 10T cell does not experience this problem which makes it the more predictable and safe choice for future implementations. Reducing the voltage from 1.2V to 400mV gives a power saving in the range 4-18 depending on process variations and temperature. At low temperatures the supply voltage must be increased either permanently or by using dynamic voltage compensation to perform a read operation within a 32kHz clock cycle. This thesis has showed that it is viable to implement a subthreshold SRAM architecture in the Atmel 130nm CMOS process and some important effects of applying voltage scaling have been explored. Reducing the power supply to such an extent reduces performance and will need some form of voltage compensation to increase performance at low temperatures.
-
Værnes, Magne; Ytterdal, Trond & Aunet, Snorre (2014). Performance comparison of 5 Subthreshold CMOS flip-flops under process-, voltage-, and temperature variations, based on netlists from layout.
-
Aunet, Snorre (2013). Low Voltage / Low Energy CMOS.
-
Aunet, Snorre (2013). Ultra low voltage CMOS reducing power consumption up to several orders of magnitude, or energy per switching up to 1-2 orders of magnitude.
-
Bjerkedok, Jonathan Edvard; Aunet, Snorre; Grannæs, Marius & Ekelund, Øivind (2013). Subthreshold Real-Time Counter.
-
Myhre, Petter; Pihl, Johnny & Aunet, Snorre (2013). A novel 55 nm Path Delay Monitor - saving power with DVFS.
-
Schjolden, Lars-Frode; Aunet, Snorre & Ytterdal, Trond (2013). Low Energy Implementation of Robust Digital Arithmetic in Sub/Near-Threshold Nanoscale CMOS - for ultrasound beamforming.
-
Taugland Kollerud, Martin; Pihl, Johnny & Aunet, Snorre (2013). Extended Bubble Razor Methodology and its Application to Dynamic Voltage Frequency Scaling Systems.
-
Værnes, Magne; Aunet, Snorre & Hagen, Anders (2013). Trade-offs between Performance and Robustness for Ultra Low Power/Low Energy Subthreshold D flip-flops in 65nm CMOS.
-
Halvorsen, Are; Røkenes Myren, Sindre; Hopland Sperre, Andreas; Hendseth, Sverre & Aunet, Snorre (2012). Eurobot NTNU 2012 - Treasure Island.
Vis sammendrag
eurobot er en årlig internasjonal konferanse for autonome roboter. Gruppen har utviklet en komplett, fungerende robot, med gode mekaniske løsninger. Det utviklede styresystemet tillater hurtig re-programmering av strategier, selv like før en kamp. posisjoneringssystemet kan frakte roboten til en hvilken som helst koordinat på spillebrettet. Roboten vant fem kamper i Eurobot 2012, noe som resulterte i en 23. plass blant 43 internasjonale lag.
-
Aunet, Snorre & Lindstad, Siri (2012, 10. mai). Nøkkelen i nakken. [Internett].
-
Aunet, Snorre & Lindstad, Siri (2012, 01. mai). Nøkkelen i nakken.
-
Bjørnstad, Tor-Eivind; Mikkelsen, Sindre & Aunet, Snorre (2012). Exploring laser induced Single Event Latch-up in AMS 0.35um.
-
Bårdsen, Sigurd; Tjora, Sigve & Aunet, Snorre (2012). Implementation of High Speed Serial Links.
-
Cao, Tuan Vu; Aunet, Snorre & Ytterdal, Trond (2012). A 9-bit 50MS/s Asynchronous SAR ADC in 28nm CMOS.
-
E. Koch, Kristoffer; Aunet, Snorre & Aamodt Gulbrandsen, Truls Magnus (2012). Low Power Capacitive Touch Digital Detection Filter.
-
Haugland, Martin Severin O; Berge, Hans Kristian Otnes; Hasanbegovic, Amir & Aunet, Snorre (2012). Multiobjective Optimization of an Ultra Low Voltage / Low Power Standard Cell Library for Digital Logic Synthesis.
-
Aunet, Snorre (2011). On the Reliability of Ultra Low Voltage Circuits Built From MINORITY-3 GATES.
-
Aunet, Snorre & Søråsen, Oddvar (2011). Editorial. Microprocessors and microsystems.
ISSN 0141-9331.
35(7), s 593- 594 . doi:
10.1016/j.micpro.2011.10.001
-
Aunet, Snorre & Søråsen, Oddvar (2011). Special Issue on Norchip 09. Microprocessors and microsystems.
ISSN 0141-9331.
35(7), s 593- 594 . doi:
10.1016/j.micpro.2011.10.001
-
Moradi, Farshad; Wisland, Dag T; Aunet, Snorre & Berg, Yngvar (2011). Ultra Low Power Digital Circuit Design for Wireless Sensor Network Applications. Series of dissertations submitted to the Faculty of Mathematics and Natural Sciences, University of Oslo.. 1121.
-
Nguyen Le, Thanh & Aunet, Snorre (2011). Suksessiv Approksimasjonsregister A/D-omformer.
-
Aunet, Snorre (2010). German and Norwegian subthreshold CMOS research under the "Robust Ultra-Low-Power Circuits for Nano-Scale CMOS Technologies" project.
-
Aunet, Snorre (2010). Moore’s law and beyond – some technological challenges.
-
Aunet, Snorre (2010). Organisering og ledelse av hardware-utvikling.
-
Aunet, Snorre (2010). The IC Industry and Education in Norway.
-
Aunet, Snorre (2010). Ultra Low Voltage / Low Power CMOS Circuits.
-
Aunet, Snorre & Hasanbegovic, Amir (2010). Memory Elements Based on Minority-3 Gates and Inverter5s Implemented in 90 nm CMOS.
Se alle arbeider i Cristin
Publisert 4. nov. 2010 13:46
- Sist endret 2. feb. 2016 13:43